CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 二进制 转 bcd

搜索资源列表

  1. hex-bcd

    0下载:
  2. 一段二进制转压缩BCD(我认为是好东东,请认为好的跟贴)-a binary switch compression BCD (I think this is good Dongdong, that the latest good)
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1444
    • 提供者:任波
  1. clock2001

    0下载:
  2. 时钟模块之一:二进制转BCD码verilog源代码FPGA advantage编程环境-clock module : BCD switch binary source code Verilog FPGA advantage programming environment
  3. 所属分类:Static控件

    • 发布日期:2008-10-13
    • 文件大小:822
    • 提供者:dandan
  1. VHDL_Development_Board_Sources

    0下载:
  2. 这是我最近买的一套CPLD开发板VHDL源程序并附上开发板的原理图,希望对你是一个很好的帮助!其中内容为:8位优先编码器,乘法器,多路选择器,二进制转BCD码,加法器,减法器,简单状态机,四位比较器,7段数码管,i2c总线,lcd液晶显示,拨码开关,串口,蜂鸣器,矩阵键盘,跑马灯,交通灯,数字时钟.-which I have recently bought a CPLD Development Board VHDL source code accompanied the development
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:4642650
    • 提供者:Jawen
  1. Verilog_Development_Board_Sources

    0下载:
  2. 朋友,我是Jawen.看到先前上载的一套CPLD开发板的VHDL源码挺受欢迎的,现在就将她的Verilog源码也一并贡献给大家:8位优先编码器,乘法器,多路选择器,二进制转BCD码,加法器,减法器,简单状态机,四位比较器,7段数码管,i2c总线,lcd液晶显示,拨码开关,串口,蜂鸣器,矩阵键盘,跑马灯,交通灯,数字时钟-friends, I Jawen. previously seen on the set of CPLD Development Board VHDL source code q
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3152400
    • 提供者:Jawen
  1. 8位二进制转化为2位BCD的PIC子程序

    0下载:
  2. 8位二进制转化为2位BCD的PIC子程序-eight binary into two BCD PIC Subroutine
  3. 所属分类:Web服务器

    • 发布日期:2008-10-13
    • 文件大小:1011
    • 提供者:汪峰
  1. 16位二进制转化为5位BCD的PIC子程序

    0下载:
  2. 在PIC单片机上实现将16位二进制转化为5位BCD码的汇编程序-the PIC will achieve 16 binary into five BCD compilation process
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1322
    • 提供者:海盗
  1. 基于vhdl的二进制转BCD码的设计

    0下载:
  2. 基于vhdl的二进制转BCD码的设计,已经经过调试,可直接使用,Vhdl based on binary code to BCD design, has been testing can be used directly
  3. 所属分类:VHDL编程

    • 发布日期:2017-03-27
    • 文件大小:801
    • 提供者:郭帅
  1. bcd.rar

    0下载:
  2. vhdl编写的将二进制转BCD码的程序.直接源代码,适合新手编程,语法学习,BCD
  3. 所属分类:Windows Develop

    • 发布日期:2017-03-27
    • 文件大小:202281
    • 提供者:yjh
  1. DataCode

    0下载:
  2. 数据代码转换,包括二进制到BCD码的转换,BCD码到二进制的转换,等等。-Data code conversion, including the binary to BCD Code Conversion, BCD code to binary conversion, and so on.
  3. 所属分类:SCM

    • 发布日期:2017-04-01
    • 文件大小:1913
    • 提供者:余希
  1. BCD

    0下载:
  2. 实现多字节二进制转BCD码功能,是在KEI中写的。可以直接用。-Achieve multi-byte binary code to BCD function
  3. 所属分类:Other systems

    • 发布日期:2017-04-17
    • 文件大小:16250
    • 提供者:panlaoda
  1. BCD

    0下载:
  2. 二进制码转换BCD子程序,16位二进制转4位BCD码-Binary BCD conversion routines, 16-bit binary 4-bit BCD code switch
  3. 所属分类:SCM

    • 发布日期:2017-03-23
    • 文件大小:1205
    • 提供者:刘成岩
  1. bcd

    0下载:
  2. 在单片机开发环境中一般会用到二进制转bcd的功能。-binary to bcd,when you use binary you can make it bcd.
  3. 所属分类:SCM

    • 发布日期:2017-04-06
    • 文件大小:2944
    • 提供者:朱维新
  1. 2-Decimal-BCD-Decoder

    0下载:
  2. 二-十进制BCD译码器,就是用VDHL编写的将二进制转化为十进制的BCD译码器-2- Decimal BCD Decoder, is to use VDHL written into the binary decimal BCD decoder
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:540
    • 提供者:易云箫
  1. B_to_D

    0下载:
  2. 二进制转BCD码程序,可作为7段数码管显示的编解码程序,VHDL编写的FPGA工程。-BCD binary code change process, as 7 digital display codec process, VHDL FPGA project prepared.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:1009365
    • 提供者:程光
  1. 2BCD

    0下载:
  2. 二进制转BCD码 verilog hdl Quartus II 9.0sp2 编译通过 所有的文件-Binary to BCD code verilog hdl Quartus II 9.0sp2 compile all the documents
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:286916
    • 提供者:王冠
  1. bcd

    0下载:
  2. 4位二进制数转BCD码,由拨码键盘输入,结果由数码管显示-BCD 4-bit binary code switch from dial code keyboard input, the results from the digital display
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:665
    • 提供者:riversky
  1. BCD

    0下载:
  2. Verilog hdl编写的二进制转BCD码程序-BCD binary switch program written in Verilog hdl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-28
    • 文件大小:174970
    • 提供者:
  1. BCD-CODE

    0下载:
  2. 基于FPGA的二进制转BCD码程序,非常适合初级菜鸟学习使用入门程序,欢迎大家下载学习-FPGA binary code to BCD based procedures, very suitable for learning to use primary rookie entry procedures, are welcome to download the learning
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-27
    • 文件大小:43922
    • 提供者:zhang yang
  1. Binary-BCD-code

    0下载:
  2. 用Verilog语言写的二进制转BCD码,可以作为课堂教学实验或者课后作业,有完整工程代码-Written in Verilog language transfer binary BCD code, can be used as a teaching experiment or the homework, a complete project code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-29
    • 文件大小:184243
    • 提供者:ww
  1. 8位二进制转bcd码

    0下载:
  2. 八进制转换码 硬件描述语言,通过测试,能用(b to bcd code very easy and readily to understand)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-08
    • 文件大小:659456
    • 提供者:ougan
« 12 3 »
搜珍网 www.dssz.com